What’s in the October Issue?

Each issue of Semiconductor Digest has articles found only in the magazine. Click on the links to read the articles in the October issue.

CEA-Leti Launches OpenTRNG

CEA-Leti today announced an open-source project to produce physical True Random Number Generators (TRNG) using ring-oscillator-based architectures.

Samsung Develops Industry’s First 24Gb GDDR7 DRAM for Next-Generation AI Computing

Samsung Electronics Co., Ltd. today announced it has developed the industry’s first 24-gigabit (Gb) GDDR7 DRAM.

What’s in the October Issue?

Each issue of Semiconductor Digest has articles found only in the magazine. Click on the links to read the articles in the October issue.

Microchip’s RTG4 FPGAs with Lead-Free Flip-Chip Bumps Achieve Highest Space Qualification

QML Class V designation recognizes exceptional reliability and longevity for critical space missions.

TANAKA Announces “TK-SK” Palladium Alloy for Semiconductor Test Equipment

New palladium alloy with a Vickers hardness of 640HV reduces wear-related deformation of probe pins, contributing to longer service life and lower costs for semiconductor test equipment.

Infinera Receives CHIPS and Science Act Funds to Support Development of Semiconductor Technology Important for Communications and National Security

Today, the Biden-Harris Administration announced that the Department of Commerce and Infinera have signed a non-binding preliminary memorandum of terms (PMT) to provide up to $93 million in proposed direct funding under the CHIPS and Science Act.

Micron Fuels New Wave of AI PCs With Launch of Ultra-Fast Clock Driver DDR5 Memory Portfolio

Micron Technology, Inc., today announced the availability of a brand-new category of clock driver memory with the launch of its Crucial DDR5 clocked unbuffered dual inline memory modules (CUDIMM) and clocked small outline dual memory modules (CSODIMM), which are now shipping in volume.

Omdia: NexChip and Samsung Foundry lead LCD and OLED driver IC markets in 3Q24

Latest insights from Omdia’s Display Driver IC Market Tracker indicate that NexChip and Samsung Foundry have emerged as key leaders in the display driver IC (DDIC) market for 3Q24.

SEMI Energy Collaborative Releases Recommendations for Expanding Low Carbon Energy in Taiwan

SEMI, the global industry association representing the semiconductor and electronics design and manufacturing supply chain, today released a report by its Energy Collaborative (EC) with recommendations on expanding low-carbon energy (LCE) availability in Taiwan.

KLA Unveils Comprehensive IC Substrate Portfolio for a New Era of Advanced Semiconductor Packaging

Today KLA Corporation introduced the industry’s widest breadth of process control and process-enabling solutions for IC substrate (ICS) manufacturing.

Forge Nano Receives $10M Investment from GM Ventures

GM plans to utilize Forge Nano’s Atomic Armor surface engineering platform technology to pursue battery cathode material enhancements.

Egis Group Announces Strategic Collaboration with Arm

This collaboration enables both Egis and Alcor Micro to leverage Neoverse CSS for new chiplet solutions targeting the High-Performance Computing (HPC) and generative AI application markets, combining the strength of Egis’ specialized integrated circuit design and the power efficiency of Arm technology.  

Rambus Unveils Industry-First Complete Chipsets for Next-Generation DDR5 MRDIMMs and RDIMMs

Rambus Inc. today unveiled industry-first, complete memory interface chipsets for Gen5 DDR5 RDIMMs and next-generation DDR5 Multiplexed Rank Dual Inline Memory Modules (MRDIMMs).

Intel and AMD Form x86 Ecosystem Advisory Group

Intel Corp. and AMD today announced the creation of an x86 ecosystem advisory group bringing together technology leaders to shape the future of the world’s most widely used computing architecture.

Spin-Wave Reservoir Chips Can Prove Revolutionary in the Edge Computing Technology

“Our ultimate goal is to establish edge computing technology utilizing spin-wave reservoir chips, with the aim of developing a wide range of applications including biomedical imaging and autonomous vehicles.” said Sekiguchi.

Biden-Harris Administration Announces Preliminary Terms with Wolfspeed

Proposed CHIPS investment of up to $750M would support construction of world’s largest silicon carbide 200mm ecosystem and create over 5,000 jobs in North Carolina and New York.

SurplusGLOBAL Appoints Dr. Benjamin Jeong as Chief AI Officer

SurplusGLOBAL, a platform company specializing in semiconductor equipment and parts, is accelerating innovation in the semiconductor distribution industry by incorporating artificial intelligence (AI) technology.

Seoul Semiconductor’s Patent Enforcement Leads to LED Sales Ban of Infringing Products in Eight European Countries

Seoul Semiconductor Co., Ltd. and its affiliate Seoul Viosys announces that the Unified Patent Court has issued a judgment ordering a sales ban, recall and destruction of products infringing Seoul’s “No Wire” (WICOP) patent in eight European countries.

Mouser Electronics Celebrates Its 2024 Best-in-Class Award Winners

Mouser Electronics, Inc. announced the 2024 recipients of the Mouser Best-in-Class Awards. The annual awards event occurred on October 10 near the global distributor’s corporate headquarters in the Dallas/Fort Worth Metroplex.

SEMICON Europa 2024 to Explore Innovations in Advanced Packaging and Fab Management for a Sustainable Future

Semiconductor industry experts will convene at SEMICON Europa 2024, November 12-15 at Messe München in Munich, to explore the latest trends and innovations in advanced packaging and fab management.

SEMIFIVE Concluded Mass Production Contract for AI Chip with HyperAccel

SEMIFIVE, a design solution provider and pioneer of platform-based custom silicon solutions, has announced the conclusion of a contract with HyperAccel to develop a generative AI chip, Bertha, for mass production.

Lattice Announces CFO Transition

Lattice Semiconductor today announced the resignation of its Chief Financial Officer (CFO), Sherri Luther, effective immediately, to pursue a new opportunity.

UCSB Researchers Create the First Ever Visualization of Photoexcited Charges Traveling Across the Interface of Two Semiconductor Materials

UC Santa Barbara researchers have achieved the first-ever “movie” of electric charges traveling across the interface of two different semiconductor materials.

Engineering Perovskite Materials at the Atomic Level Paves Way for New Lasers, LEDs

Researchers have developed and demonstrated a technique that allows them to engineer a class of materials called layered hybrid perovskites (LHPs) down to the atomic level, which dictates precisely how the materials convert electrical charge into light.

Featured Video

Marposs offers a complete range of non-contact sensors used for thin-film metrology, wafer dimensional characterization, wafer inspection and packaging inspection. The company’s sensors can work inside automatic inspection machines to find defects and dimensional variation. At SEMICON West 2024, Editor-in-Chief Pete Singer talked to Frank Powell, business development manager at MARPOSS about new solutions for the semiconductor industry and the Solarius line of 3D measurement technology, including the new Polaris and Polaris Plus 3D systems.

Featured Products

EVENTS

Current Month

October

202422octAll Day24Electronic Specialty Gases & Systems 2024Gila River Resorts at Wild Horse Pass

202423octAll Day2024 CMC SeminarCatania/Sicily, Italy — Save the Date

202423octAll Day242024 Texas Semiconductor SummitCollege Station, TXFeatured

202429octAll Day31Ultra Facility 2024Driving transformative changes in semiconductor facilitiesRenaissance Phoenix Glendale Hotel & Spa

November

202403novAll Day06International Trade Partners Conference — ITPC 2024Four Seasons Resort O'ahu at Ko Olina, 92-1001 Olani StreetFeatured

202405novAll Day06International Semiconductor Executive Summits SEA 2024Eastern & Oriental Hotel

202412novAll Day15SEMICON EuropaCo-located with electronicaMesse Munchen, MessegeländeFeatured

202414novAll Day15SEMI MEMS & Imaging Sensors Summit 2024Messe Munchen, Messegelände

202418novAll Day19Defect-Based Testing CourseMunich, Germany

202419nov1:00 pm4:35 pmWomen in Semiconductors—WISEMD Electronics, 3011 North First St.Virtual/ Physical Event

December

202402decAll Day05Failure and Yield Analysis CourseMunich, Germany

202409decAll Day12Semiconductor Reliability and Product Qualification CourseMunich, Germany

202411decAll Day13SEMICON JapanTokyo Big Sight, 3 Chome-11-1 Ariake, Koto CityFeatured