eBeam Initiative Survey of Semiconductor Luminaries Predicts Photomask Market Growth and Increasing Investments in Mask Inspection and Multi-beam Mask Writing

The eBeam Initiative, a forum dedicated to the education and promotion of new semiconductor manufacturing approaches based on electron beam (eBeam) technologies, today announced the completion of its 13th annual eBeam Initiative Luminaries survey. Industry luminaries representing 49 companies from across the semiconductor ecosystem – including photomasks, electronic design automation (EDA), chip design, equipment, materials, manufacturing and research – participated in this year’s survey.

100 percent of survey respondents predict that mask revenues in 2024 will increase (74 percent) or stay the same (26 percent) compared to 2023. Luminaries were also positive on future equipment purchases over the next three years, with increases predicted for multi-beam mask writers (93%), mask inspection (85%) and laser mask writers (48%). In addition, the percentage of luminaries that believe that fabs without EUV can reach 5nm within 7 years has increased from 12 percent last year to 19 percent this year.

New questions were added to the Luminaries survey this year to gauge perceptions on EUV pellicles and high-NA stitching. 81 percent think that stitching for high-NA EUV masks will require designers to be aware of the stitching boundaries during design. 33 percent believe that EUV mask lifetime is at least 3x longer with pellicles than without.

The complete results of the Luminaries survey will be discussed by an expert panel this evening during an eBeam Initiative event held in conjunction with the SPIE Photomask Technology + EUV Lithography Conference in Monterey, Calif., and will be available for download following the event at www.ebeam.org.

Participants in the 13th Annual eBeam Initiative Luminaries survey predict increases in future equipment purchases for multi-beam mask writing and mask inspection over the next three years. Source: eBeam Initiative.

Additional Perceptions from the Luminaries Survey (conducted in July 2024)

 

“We look forward to an exciting week at SPIE Photomask where the eBeam Initiative will be hosting its 15th annual photomask meeting – demonstrating the continued strong support across the semiconductor ecosystem for this collaborative forum,” stated Aki Fujimura, CEO of D2S, the managing company sponsor of the eBeam Initiative. “It’s truly an exciting time to be a part of the photomask industry, which has seen such strong growth in recent years – a testament to the amazing talent within the mask community, as well as to the industry’s growing importance in driving semiconductor innovation. It’s welcome news that the vast majority of participants in this year’s eBeam Initiative Luminaries survey, who represent top business and technology experts in the industry, see this growth trend continuing in 2024.”

Exit mobile version