Semiconductor ALD/CVD Precursors Driven by Advanced Applications

TECHCET— the electronic materials advisory firm providing business and technology information —is forecasting semiconductor metal and oxide precursors to reach US$1.7 B in revenues in 2024, rising 15% over 2023. This is the highest growth rate among all front process materials segments. Metal/Metal-oxide precursors occupy the majority of the market with expected revenues at $972 M, while Dielectric Precursors are expected to total US$742 M. The precursor market is forecasted to increase at a 9% CAGR from 2023-2028. More information on market forecasting and trends is included in TECHCET’s new Critical Materials Reports™ on ALD/CVD Precursors.

Significant investments are being made in advanced process technologies such as GAA FETs, EUV lithography, and high-k/metal gate transistors, all of which are crucial for next-generation Logic, DRAM, and 3D NAND devices. Recovery of precursor revenue growth is being driven by AI and advanced technology applications. Additionally, metallization for backside power delivery at 2nm logic nodes and beyond is also pushing up growth for metal precursors.

Molybdenum (Mo) precursors, specifically MoO2Cl2, are projected to experience high growth due to their favorable electrical properties and application in next-generation devices. Other precursors strongly tied to advanced device nodes include Cobalt precursors (CoCOCp and CCTBA) and Tungsten Hexafluoride (WF6). 

The shift towards more sustainable and efficient production methods is influencing the development of new precursors, with a focus on reducing environmental impact and improving manufacturing efficiency.

Major industry players are expanding their production capacities in order to meet growing demand. Companies such as Air Liquide, Merck, and Gelest/Mitsubishi Chemical Group are making significant investments in production facilities in key regions like Taiwan, South Korea, and the US. 

Exit mobile version